ダウンロードリスト

プロジェクト概要

Ngspice は mixed-level/mixed-signal の回路シミュレータで 3つのオープンソース ソフトウェアのパッケージ すなわち Spice3f5, Cider1b1, そして Xspice を元にしています. Spice3 はもっとも有名で広く用いられている回路シミュレータです. Cider は mixed-level シミュレータで Spice3f5 とデバイスシミュレータの DSIMが含まれています. Ciderはより高精度のシミュレーション精度が得られるよう 回路レベルのシミュレータとデバイスシミュレータを結びつけます. Xspice は Spice3 の拡張で code modeling をサポートし組込みのイベントドリブンアルゴリズム を用いたデジタルコンポーネントのシミュレーションを行います.

システム要件

システム要件が設定されていません
プロジェクトのリリース情報やプロジェクトリソースの情報です。
注: プロジェクトリソースの情報は Freecode.com ページからの引用です。ダウンロードそのものは、OSDNにホスティングされているものではありません。

2010-06-22 01:22
rework-21

いくつかのバグは、安定性と信頼性を向上させる修正されました。他のシミュレータに対処するための互換性モードが追加されました。 BSIM 4 4.6.5をリリースする更新されました。 BSIMSOIはバージョン4.3.1に更新しました。 kspiceから送電線が更新され、修正されました。 Bのソースはpwlの機能を改善された、"ハーツ"、"時間"と"かんしゃく"変数、および三元機能の追加の追加。 pwlのソースは、繰り返しパラメータ(はr =値)と遅延パラメータ(td =値)改善された。
タグ: Stable, Major
Several bugs have been fixed, improving stability and reliability. A compatibility mode for dealing with other simulators was added. BSIM 4 was updated to release 4.6.5. BSIMSOI was updated to version 4.3.1. Transmission lines from kspice were updated and corrected. B sources were improved with a PWL function, the addition of "HERTZ", "time" and "temper" variables, and the addition of a Ternary function. PWL sources were improved with a repeat parameter (r=value) and a delay parameter (td=value).

2008-12-06 04:17
rework-18

Tclspiceシミュレータライブラリngspiceとマージされています。新しいオプションが導入されています:簡単な一覧については、autostop、およびスケール。をサポート。libファイルが導入されています。 。メジャー文:平均、に対して実行、実効値、最大値、最小、遅延、およびparam。 。グローバルステートメントをサポートします。ネットリストに関数のインライン化します。funcマクロ。 numparamライブラリは完全にパラメータ化ネットリストをサポートするために改良されています。 BSIMモデルビニング。新しい多入力ゲートXSPICE拡張機能を使用してVCVS。
タグ: Major feature enhancements
The Tclspice simulator library has been merged with ngspice. New options have been introduced: brief, listing, autostop, and scale. Support for .lib files has been introduced. .measure statements: avg, integ, rms, max, min, delay, and param. .global statement support. .func macros for inlining functions into netlists. The numparam library has been improved to support fully parametrized netlists. BSIM model binning. New multi-input gate VCVS using XSPICE extensions.

2005-08-30 13:18
rework-17

行方不明にnumparamライブラリ(パラメトリックネットリスト)のファイルが追加されています。
タグ: Major bugfixes
A missing include file for the numparam library (parametric netlists) was added.

2005-08-29 17:48
rework-16

バグのほとんどは、これngspiceは、特にxspiceの拡張子、サブ回路の処理、およびnumparamライブラリを安定して固定されている。構文の終わりの行のコメントを可能に拡張されています。 "グローバル"カードのグローバルノードを定義に追加beedしています。これは、インスタンスの行に抵抗なTCを定義することが可能です。 editlineライブラリの代わりにreadlineを使用することができます。 ngspice今xspice()は、Windows MinGWを使用して/ MSYSの動作します。
タグ: Minor feature enhancements
Most of the bugs have been fixed, so ngspice is
more stable, especially the xspice extension,
subcircuit handling, and numparam library. The
syntax has been expanded, allowing for end-of-line
comments. A ".global" card has beed added to
define global nodes. It is possible to define TC
for resistors on the instance line. The editline
library can be used instead of readline. ngspice
now works (with xspice) on Windows using
MINGW/MSYS.

2005-08-24 12:02
15fixedRC3

release15のいくつかのセグメンテーション違反が修正されました。
タグ: Development, Code cleanup
Some segfaults of release15 were fixed.

プロジェクトリソース